物联网 > > 解决方案 > ic design 芯片设计的流程是怎么样的

ic design 芯片设计的流程是怎么样的

来源:https://www.wlworld.com.cn 时间:2024-07-30 编辑:admin 手机版

一般是:

1、需求定义,功能及性能指标,电气特性,die size,功耗和TDA定义

2、RTL设计

3、RTL的仿真和验证

4、逻辑综合,插入DFT

5、形式验证

6、物理综合,映射到单元块,生成网标

7、布局布线,生成版图

8、版图验证

9、寄生参数提取

10、后仿真

11、流片

12、流片后的板级验证,功能验证等

最近更新

解决方案排行榜精选